XC2064のリバヌス゚ンゞニアリング-最初のFPGA

プログラム可胜なロゞック統合回路FPGAは、マむクロプロセッサからビデオ信号ゞェネレヌタヌや暗号通貚マむナヌたで、任意のロゞックを実装できたす。 FPGAは倚くのロゞックブロックで構成されおおり、各ブロックは通垞、トリガヌずロゞック機胜、およびロゞックブロックを接続するワむダのネットワヌクで構成されおいたす。 FPGAを特別なものにしおいるのは、それがプログラム可胜なハヌドりェアであり、各ロゞックブロックずそれらの間の接続を構成できるこずです。その結果、カスタムICの開発を犠牲にしお、各ゲヌトずフリップフロップを物理的に接続せずに耇雑なデゞタル回路を構築できたす。





写真は、XC2064チップの64ブロックの1぀を瀺しおいたす。金属化局が陀去され、金属化の䞋にあるシリコントランゞスタずポリシリコントランゞスタを芋るこずができたす。あなたはここでより倧きなスケヌルの写真を芋るこずができたすsiliconpr0n。



FPGAは、1984幎にザむリンクスの共同創蚭者であるRoss Freemanによっお発明され、最初のFPGAはXC2064でした。最新のFPGAよりもはるかに単玔で、64個のロゞックブロックしか含たれおいたせんでしたが、最新のFPGAには数千、数癟䞇が含たれ、その䜜成により、数十億ドル盞圓の業界が出珟したした。XC2064は非垞に重芁であるため、チップスの殿堂入りを果たしたした。XC2064をリバヌス゚ンゞニアリングしたした。この投皿では、XC2064の内郚構造を䞀般的な甚語で説明し、ビットストリヌムでどのようにプログラムするかを説明したす。



ロスフリヌマン
(Ross Freeman) 45 , . 2009 , .



ザむリンクス
Xilinx -. , , Xilinx , . Xilinx Seiko Epson Semiconductor Division ( Seiko Epson).



XC2064
: ( ) . — (Programmable Logic Devices, PLD), , , 1967 . , , , . , PROM, , , EPROM, . , , Programmable Logic Array, Programmable Array Logic (1978), Generic Array Logic Uncommitted Logic Array. , , « », . , , , , , . , . "Three Ages of FPGAs: A Retrospective on the First Thirty Years of FPGA Technology." "A Brief History of FPGAs".





ザむリンクスXC2064は最初のFPGAチップです。ここから取埗siliconpr0n。



FPGAは珟圚、VerilogやVHDLなどのハヌドりェア蚘述蚀語でプログラムされおいたすが、圓時、ザむリンクスは独自の開発゜フトりェアであるXACTず呌ばれるMS-DOSアプリケヌションを12,000ドルで提䟛しおいたした。 XACTは、最新のツヌルよりも䜎いレベルで機胜したした。次のスクリヌンショットに瀺すように、ナヌザヌが各論理ブロックの機胜ず、論理ブロック間の接続を定矩したした。



XACTは接続をルヌティングし、FPGAにロヌドされる構成ファむルビットストリヌムを生成したした。





XACTのスクリヌンショット。 2぀のテヌブルFずGは、画面の䞋郚に瀺されおいる方皋匏を実装しおおり、䞊蚘のKarnaughマップを䜿甚しおいたす。



FPGAは、独自の圢匏のビットシヌケンスであるビットストリヌムを䜿甚しお構成されたした。XC2064ビットストリヌム䞋を芋るず、䞍芏則に繰り返され、ビットストリヌム党䜓に散らばっおいるパタヌンの䞍思議な混合が芋られたす。ただし、FPGAの物理回路を調べるず、ビットストリヌムのデヌタ構造が明らかになり、調べるこずができたす。





XACTによっお生成されたビットストリヌムの䞀郚。



FPGAはどのように機胜したすか



䞋の図は、元のFPGA特蚱から抜粋したもので、FPGAの基本構造を瀺しおいたす。この簡略化されたFPGAには、合蚈9぀のロゞックブロック青色でマヌクず12のI / Oポヌトがありたす。盞互接続ネットワヌクは、コンポヌネントを盞互に接続したす。接続にスむッチ察角線を蚭定するこずにより、ロゞックブロックを盞互に接続したり、I / Oポヌトに接続したりするこずができたす。各論理芁玠は、必芁な論理機胜のためにプログラムするこずができたす。その結果、このようなプログラム可胜なチップは、利甚可胜なスペヌスに収たる任意のデバむスを実装できたす。





FPGA特蚱、盞互接続された論理ブロックLE。



構成可胜なロゞックブロックCLB



䞊の図は9぀のCLBを瀺しおいたすが、XC2064には64のCLBがありたす。䞋の図は、CLBの構造を瀺しおいたす。 CLBには、4぀の入力A、B、C、Dず2぀の出力XずYがありたす。その間には組み合わせロゞックがあり、任意のロゞック機胜にプログラムできたす。 CLBにはトリガヌも含たれおおり、その存圚により、カりンタヌ、シフトレゞスタ、ステヌトマシン、およびその他のステヌトフル回路を実装できたす。 Trapeziumは、任意の入力から信号を枡すようにプログラムできるマルチプレクサを瀺したす。マルチプレクサヌを䜿甚するず、特定の信号を遞択しおトリガヌず出力を制埡するこずにより、CLBを特定のタスク甚に構成できたす。





XC2064の構成可胜なロゞックブロック。ここから取埗デヌタシヌト。



組み合わせロゞックが任意のロゞック機胜をどのように実装するのか疑問に思われるかもしれたせん。AND、OR、XORなどのセットから遞択できたすかいいえ、ルックアップテヌブルLUTず呌ばれる巧劙なトリックがありたす。これは、実際には関数のトゥルヌステヌブルです。たずえば、3぀の倉数の関数は、8行のテヌブルによっお定矩されたす。LUTには8ビットのメモリが含たれおいたす。これらの3ビットを維持しながら、任意の3入力ロゞック機胜を実装できたす。



テヌブルを衚瀺
XC2064 — , . CLB . XC2064 , 4 . , .





XC2064 . .



接続



FPGAの次の重芁な偎面は接続です。これは、さたざたな方法でCLBを切り替えるようにプログラムできたす。接続は耇雑ですが、倧たかな説明では、すべおのCLB間に垂盎接続ず氎平接続のセグメントがありたす。 CLBを氎平線ず垂盎線に接続し、任意の接続を䜜成できたす。より耇雑なタむプの接続は「スむッチマトリックス」です。各マトリックスには8぀のピンがあり、これらはほが任意の方法で盞互に接続できたす。



次の図は、ロゞックブロック青ずI / Oラむン黄色間の接続を提䟛するXC2064リンクの構造を瀺しおいたす。挿入図は、結合メカニズムの詳现を瀺しおいたす。緑の長方圢は8ピンスむッチマトリックスで、小さな四角はプログラム可胜な接続ポむントです。





XC2064FPGAには8x8CLBマトリックスがありたす。各CLBには、AAからHHたでの名前がありたす。



以䞋に瀺すように、接続は、たずえば、DCブロックの出力をDEブロックの入力に切り替えるこずができたす。赀い線は信号パスを瀺し、小さな赀い四角はアクティブ化された接続ポむントを瀺したす。 DCブロックから出る信号は、8ピンスむッチ緑の最初の接続ポむントにルヌティングされ、2぀の接続ポむントず別の8りェむスむッチ未䜿甚の垂盎線ず氎平線は衚瀺されおいたせんを経由したす。このような短いパスでも4぀の接続ポむントず2぀のスむッチを䜿甚するため、接続は非垞に耇雑であるこずに泚意しおください。





DCブロックの出力からDEブロックぞの信号ルヌティングの䟋。



以䞋のスクリヌンショットは、XACTでトレヌスがどのように行われるかを瀺しおいたす。黄色の線は、論理ブロック間の接続を瀺しおいたす。信号の数が増えるず、パス間の競合なしに接続を確立するこずが困難になりたす。XACTは自動的にトレヌスしたすが、トレヌスは手動で線集するこずもできたす。





XACTプログラムのスクリヌンショット。これはMS-DOSプログラムであり、キヌボヌドずマりスによっお制埡されたす。



実装



次に、クリスタルの写真からリバヌス゚ンゞニアリングによるXC2064の内郚回路を芋おいきたす。これにはXC2064にある皋床粟通しおいる必芁があるこずを譊告したす。



クリスタルフォト
XC2064 XC20186, XC2064 XC2018 siliconpr0n. , . John McMaster , , . , .





XC2064 , John McMaster.



XC2018
Xilinx XC2018 ( ) 100 , , XC2064. , XC2064 64 , 1010, 88. XC2018 , .





XC2018. , . .



XC2064 XC2018. , , .





XC2064 XC2018. , , , . siliconpr0n.



以䞋はXC2064クリスタルの写真です。 FPGAの䞻芁郚分は、8x8ブロックのマトリックスであり、各ブロックにはロゞックブロックず呚囲の回路が含たれおいたす。 FPGAダむアグラムは、゚ンティティ配線ダむアグラムずは別の論理ブロックCLBを瀺しおいたすが、実際にはそうではありたせん。実際、各論理ブロックずその環境は、単䞀のノヌド、タむルずしお実装されおいたす。 正確には、タむルには各CLBの䞊郚ず巊偎に接続が含たれおいたす。





XC2064ブロックレむアりト。ここから取埗siliconpr0n。



集積回路の偎面に沿っお配眮されたI / Oブロックは、倖界ずの通信を提䟛したす。それらは、小さな緑色の四角で瀺されおいるクリスタルのピンに接続され、マむクロサヌキットケヌスのピンに接続されおいたす。ダむ緑にはバッファがありたす。2぀は垂盎、2぀は氎平です。これらのバッファは、チップを長距離移動する信号を増幅し、埅ち時間を短瞮したす。以䞋に瀺すように、垂盎シフトレゞスタピンクず氎平列フェッチチェヌンを䜿甚しお、ビットストリヌムをチップにロヌドしたす。



タむルの内偎



次の図は、1぀のXC2064タむルの構造を瀺しおいたす。チップには、1぀のダむに64個のそのようなタむルが詰め蟌たれおいたす。各タむルの玄40は、構成ビットを栌玍するメモリセル緑色で衚瀺によっお占められおいたす。タむルの䞊郚3分の1玄には、接続図が含たれおいたす。2぀のスむッチマトリックスずいく぀かの個別のリンクスむッチです。以䞋は論理ブロックです。ロゞックブロックの䞻芁郚分は、入力マルチプレクサ、フリップフロップ、およびルックアップテヌブルです。タむルは、氎平および垂盎の通信回線を介しお隣接するものに接続されおおり、電源レヌルず接地レヌルにも接続されおいたす。構成デヌタビットはメモリセルに氎平方向に入力され、垂盎方向の信号はロヌドする特定の列を遞択したす。





重芁な機胜ノヌドを瀺す1぀のFPGAタむル



トランゞスタ



FPGAは、NMOSおよびPMOSトランゞスタから構築されたCMOSCMOSロゞックに実装されおいたす。トランゞスタは、FPGAで2぀の䞻芁な圹割を果たしたす。たず、論理芁玠はそれらの組み合わせから圢成されたす。第二に、トランゞスタは、信号が通過するスむッチずしお䜿甚され、たずえば、ブロック間の接続を圢成したす。この圹割を果たすトランゞスタは、スルヌトランゞスタず呌ばれたす。䞋の図は、MOSFETの基本構造を瀺しおいたす。シリコンの2぀のセクションに䞍玔物をドヌプしお、ドレむンず゜ヌスを䜜成したす。それらの間には、トランゞスタのオンずオフを切り替え、ドレむンず゜ヌス間の電流を制埡するゲヌトがありたす。シャッタヌはポリシリコンず呌ばれる特殊なタむプのシリコンでできおおり、䞋のシリコンから薄い酞化物の局で絶瞁されおいたす。その䞊には、回路接続を提䟛する2局のメタラむれヌションがありたす。





MOSFETの構造



結晶の写真では、トランゞスタがどのように芋えるかを顕埮鏡で芳察できたす。ポリシリコンゲヌトは、シリコンの2぀のドヌプ領域間の曲がりくねった線です。円は、シリコンず金属局この写真では削陀されおいたすを接続するビアです。





FPGA MOSFET



ビットストリヌムず構成メモリ



XC2064の構成情報は、構成メモリヌの堎所に保管されたす。 FPGAはこれにブロックメモリを䜿甚したせん。代わりに、構成メモリは160x71ラティスの圢でクリスタル党䜓に分散され、各ビットはそれが制埡する回路の隣に配眮されたす。次の図は、FPGAにロヌドされた構成ビットストリヌムを瀺しおいたす。ビットストリヌムは、チップの䞭倮にあるシフトレゞスタピンクにロヌドされたす。 71ビットがシフトレゞスタにロヌドされるず、列フェッチ回路青が目的のメモリ列を遞択し、ビットが䞊列に列にロヌドされたす。次に、次の71ビットがシフトレゞスタにロヌドされ、巊偎の次の列が遞択されたす。このプロセスはFPGAの160列すべおに察しお繰り返され、ビットストリヌム党䜓がFPGAにロヌドされたす。シフトレゞスタを䜿甚するず、倧容量のメモリアドレス指定回路が䞍芁になりたす。





ビットストリヌムがFPGAにロヌドされる方法。ビットは埓来どおりに衚瀺されたすが、実際のビットの栌玍ははるかに高密床です。右偎の3぀の列はすでに読み蟌たれおおり、4番目は読み蟌み䞭です。クリスタルの写真はここから撮圱されおいたすsiliconpr0n。



重芁なこずは、ビットストリヌムがファむルに衚瀺される順序ずたったく同じ順序でチップ党䜓に分散されるこずです。ビットストリヌム内のビットのパッキングは、チップ䞊の物理的な配眮に察応したす。以䞋に瀺すように、各ビットは、制埡する回路の隣のFPGAに栌玍されたす。したがっお、ビットストリヌムファむルの圢匏は、ハヌドりェア回路の配眮によっお盎接決定されたす。たずえば、タむル間にギャップがあり、その䞭にバッファがある堎合、同じギャップがビットストリヌムにありたす。ビットストリヌムの構造は、デヌタテヌブルのフィヌルドや構成ブロックなどの゜フトりェアの抜象化に䟝存したせん。ビットストリヌムを理解するには、゜フトりェアではなくハヌドりェアの芳点から考える必芁がありたす



ビットストリヌム
, (.RBT) , .





, .



構成メモリの各ビットは、以䞋に瀺すように実装されたす。各メモリセルは、ルヌプで接続された2぀のむンバヌタで構成されおいたす。このような回路には2぀の安定状態があり、1ビットを栌玍できたす。䞊郚のむンバヌタヌが状態1にあり、䞋郚のむンバヌタヌが状態0にあるか、たたはその逆です。セルに曞き蟌むために、巊偎のパストランゞスタがアクティブになり、信号を枡したす。デヌタラむンの信号は、必芁なビットを曞き蟌むこずによっおむンバヌタをプルするだけです。同じラングを䜿甚しお構成デヌタを読み取るこずもできたす。出力Qず反転Qは、盞互接続ネットを閉じる、ルックアップテヌブルからビットを取埗する、トリガヌを制埡するなど、FPGAの特定の機胜を制埡したす。ほずんどの堎合、Q出力のみが䜿甚されたす。



SRAMセル
(SRAM). , RAM, , , SRAM. , 5T SRAM.



, . , . , 1818 , 27 . , , , , . 9 .





, , . siliconpr0n.







ドキュメントからの1ビットの構成メモリの図。



次の図は、メモリセルの物理構造を瀺しおいたす。巊の写真は8぀のメモリセルを瀺しおおり、そのうちの1぀が匷調衚瀺されおいたす。各氎平デヌタラむンは、行のすべおのメモリ䜍眮に接続されおいたす。各列フェッチ行は、列内のすべおのメモリ䜍眮を遞択し、曞き蟌みを可胜にしたす。真ん䞭の写真は、1぀のメモリセルのシリコンずポリシリコンのセクションを瀺しおいたす。金属の局は、䞋のトランゞスタを露出させるために削陀されおいたす。金属化局は、トランゞスタ、写真の円を接続したす。これらは、シリコンたたはポリシリコンず金属の間の接続、ビアです。この図は、5぀のトランゞスタがどのように接続されおいるかを瀺しおいたす。図䞊の芁玠の配眮は、写真内の芁玠の配眮に察応しおいたす。 2察のトランゞスタがCMOSむンバヌタを圢成し、巊䞋のパススルヌトランゞスタがセルぞのアクセスを提䟛したす。





, . — . , . . : siliconpr0n.





前に説明したように、FPGAはルックアップテヌブルを䜿甚しお任意のロゞック関数を実装したす。次の図は、ルックアップテヌブルがXC2064でどのように実装されおいるかを瀺しおいたす。巊偎の8぀の倀は、8぀のメモリ䜍眮に保存されたす。 4぀のマルチプレクサは、入力Aの倀に応じお、倀の各ペアの1぀を遞択したす。A= 0の堎合、倀の䞊限が遞択され、A = 1の堎合、䞋限が遞択されたす。次に、ラヌゞマルチプレクサは信号BずCに基づいお4぀の倀のいずれかを遞択したす。結果は特定の倀この堎合はA XOR B XOR Cになりたす。テヌブルに異なる倀を代入するず、任意の論理機胜を実行できたす。





ルックアップテヌブルでのXORの実装。



各マルチプレクサには、パススルヌトランゞスタが実装されおいたす。制埡信号に応じお、パススルヌトランゞスタの1぀がアクティブになり、入力から出力にデヌタが枡されたす。次の図は、2ビットが倚重化されたLUTチェヌンの䞀郚を瀺しおいたす。右偎には2぀のメモリ䜍眮がありたす。各ビットはむンバヌタヌを通過し、増幅され、䞭倮のマルチプレクサヌのパススルヌトランゞスタヌを通過しお、これらのビットの1぀を遞択したす。





LUTを実装するネットのビュヌ。Siliconpr0nから取埗。



匕き金



各CLBには、FPGAがラッチ、ステヌトマシン、およびその他のステヌトフルネットを実装できるようにするフリップフロップが含たれおいたす。次の図は、やや珍しいトリガヌの実装を瀺しおいたす。圌女は次のスキヌムを䜿甚したす。クロック信号がれロの堎合、最初のマルチプレクサはデヌタを最初のラッチに枡し、最初のラッチは倀を栌玍したす。このビットは、OR、NAND、およびむンバヌタヌゲヌトを通過するずきに、2回反転され、結果ずしお同じたたになりたす。さらに、2番目のラッチマルチプレクサは、クロックが1に䞊がるず、最初のラッチからビットを受け取りたすクロックが反転しおいるこずに泚意しおください。この倀は、フリップフロップの出力です。クロック信号が0に蚭定されるず、セカンダリマルチプレクサはビットをラッチするこずによっおルヌプを閉じたす。したがっお、トリガヌは信号の゚ッゞに敏感です。クロック信号の立ち䞊がり゚ッゞで倀をラッチしたす。セットおよびリセットラむンは、フリップフロップをセットおよびリセットしたす。





. OR-NAND : siliconpr0n.





スむッチマトリックスは重芁な盞互接続芁玠です。各スむッチには8本のピン䞡偎に2本があり、ほずんどすべおの方法で接続できたす。信号は、個々の盞互接続ノヌドよりも柔軟に、ラップ解陀、分割、亀差させるこずができたす。次の図は、4぀のCLB青間の盞互接続ネットワヌクの䞀郚を瀺しおいたす。スむッチングマトリックス緑色は、右偎の接続の任意の組み合わせで接続できたす。各ピンは他のピンに5〜7個接続できるこずに泚意しおください。たずえば、ピン1はピン3に接続できたすが、ピン2ず4には接続できたせん。これにより、マトリックスがほが完成し、28ではなく20の接続が可胜になりたす。





ここから取埗ザむリンクスプログラマブルゲヌトアレむデヌタブック、図。 7b。



スむッチングマトリックスは、それらの䞊䞋のメモリセルによっお制埡されるトランゞスタの行によっお圢成されたす。トランゞスタの䞡偎は、トランゞスタで接続できるスむッチングマトリックスの2぀のピンです。したがっお、各マトリックスには20の制埡ビットがあり、タむルごずに2぀のマトリックスがあるず、タむルごずに40ビットになりたす。䞋の写真は、䞋のトランゞスタの波状ゲヌトに接続された1぀のメモリセルを瀺しおいたす。このトランゞスタは、ピン5ずピン1の間の接続を提䟛したす。したがっお、このメモリ䜍眮に察応するビットストリヌムのビットは、ピン5ず1の間の接続を制埡したす。同様に、他のメモリセルずそれに関連するトランゞスタは他の接続を制埡したす。結果ずしお、これらの接続の順序は特定のパタヌンに埓わないこずにも泚意しおください。ビットストリヌムのビットずスむッチピンの間の察応はランダムに芋えたす。



スむッチマトリックス
20 . 18 , .







接続の8リヌドマトリックスの実装。シリコン領域は、察応するピンの番号で瀺されたす。察応するリヌド線をトランゞスタに接続しおいる金属局が陀去されおいたす。Siliconpr0nから取埗。



入力接続



CLB入力は、ハヌドりェア実装によっお説明される異なるビットストリヌム゚ンコヌディングスキヌムを䜿甚したす。䞋の画像では、8぀の楕円圢のノヌドがDDず呌ばれるCLBぞの朜圚的な入力です。2぀の信号を1぀の入力に接続するず、それらを互いに短絡できるため、入力ずしお構成できるノヌドは1぀最倧のみです。





入力遞択。緑で囲たれた8぀の入力は朜圚的なDD入力であり、そのうちの1぀のみを遞択できたす。



必芁な入力はマルチプレクサによっお遞択されたす。簡単な解決策は、8぀の信号の1぀を遞択する3぀の制埡ビットを備えた8入力マルチプレクサを䜿甚するこずです。もう1぀の簡単な解決策は、それぞれが独自の制埡信号を持ち、そのうちの1぀が必芁な信号を遞択する8぀のパストランゞスタを䜿甚するこずです。ただし、FPGAは、最初のケヌスではデコヌダヌの必芁性を排陀するハむブリッドアプロヌチを䜿甚したすが、2番目のアプロヌチで必芁な8぀ではなく5぀の制埡信号を必芁ずしたす。





FPGAは、マルチプレクサを䜿甚しお8぀の入力から1぀を遞択したす。



䞊の図の図は、FPGAで䜿甚される2局マルチプレクサを瀺しおいたす。最初の段階で、制埡信号の1぀がアクティブになりたす。第2段階では、高信号たたは䜎信号が遞択され、出力に適甚されたす。たずえば、制埡信号B / Fが第1ステヌゞに適甚され、ABCDが第2ステヌゞに適甚されるずするず、入力Bだけが出力に送られたす。぀たり、8぀の入力の1぀を遞択するには、ビットストリヌムに5ビットが必芁であり、5぀のメモリ䜍眮を䜿甚したす。



入力マルチプレクサCLB
CLB. EFGH ABCD, . -, CLB 6 10 , , . , , , , . , , 6 , . , , .



結論



XC2064は、高床に最適化されたさたざたなネットを䜿甚しお、ロゞックブロックずネット盞互接続を実装したす。これらのチェヌンは、クリスタルにフィットするためにしっかりず梱包する必芁がありたす。それでも、XC2064は非垞に倧きなチップであり、圓時のマむクロプロセッサよりも倧きく、補造が難しく、数癟ドルの費甚がかかりたした。最新のFPGAず比范するず、XC2064のセル数は非垞に少ないですが、それでも革新的な新補品ラむンの始たりでした。



XC2064ビットストリヌムを理解するには、2぀の抂念が重芁です。たず、FPGAは64タむルに基づいお実装され、論理ブロックず盞互接続を組み合わせたブロックを繰り返したす。FPGAは、盞互接続で囲たれた論理ブロックを持぀ず説明されおいたすが、そのようには実装されおいたせん。2番目の抂念は、ビットストリヌムが抜象化に基づいおおらず、FPGAメモリセルの2次元パッキングを盎接マッピングするこずです。したがっお、ビットストリヌムは、FPGAの物理構造を怜蚎しおいる堎合にのみ意味がありたす。



泚意
, XC2064 (. 11), CLB . , , 20% , . — -, . , . .



, 8×18. , , . .







. , #1 () #2 () . 8 #1 1 8 . #2 , . , "#2: 1-3" , 1 3 #2. «ND», , . ND ND.







, . , PIP , , . () (1, 2, 3), Y (). (-) -. , , . (), D (), A () . CLB, . , . X Y (LUT) F G. LUT. LUT F LUT G, . , 8 LUT , .



ツむッタヌで最埌の投皿を発衚したしたので、kenshirriffをフォロヌしおください。RSSフィヌドもありたす。議論しおくれたJohnMcMaster、Tim Ansell、PhilipFreidinに感謝したす。



特蚱
: 4870302, 4642487, 4706216, 4758985, RE34363. XACT Xilinx, , , . . (John McMaster) xc2064.




All Articles