私たちのチームのズームコールに一度、議論のスレッドは計画を超えました。誰もがオフィスでの通常の「キッチン」コミュニケーションを欠いているため、これはしばしば遠隔地で発生します。会話は、「Iloveyou」が世界のすべての言語で書かれているイタリア語の本から始まりました。それから、プログラミング言語も言語であるという反対意見がありました、なぜそれらはそこにないのですか?それから、バレンタインデーが間近に迫っていたことを思い出しました。そこで、この本を独自の方法でリメイクする方法を見つけ、同時にIEEEからのプログラミング言語の最新の評価を思い出しました。
この本を小さな版でリリースし、この投稿ではその内容を公開しています。最も人気のある53のプログラミング言語での愛の宣言です。そしてボーナスとして、私たちはそれらのそれぞれの簡単な説明を提供します。
コンテンツ
- Python
- Java
- C
- C ++
- JavaScript
- R
- Arduino
- 行く
- 迅速
- MATLAB
- ルビー
- ダート
- SQL
- PHP
- アセンブリ
- Scala
- HTML
- Kotlin
- ジュリア
- さび
- シェル
- 処理
- C#
- SAS
- Fortran
- CUDA
- VisualBasic
- Objective-C
- Delphi
- Perl
- Verilog
- VHDL
- Elixir
- F#
- Prolog
- Lua
- Lisp
- Ada
- Apache Groovy
- Scheme
- Haskell
- COBOL
- Clojure
- ABAP
- D
- Forth
- OCaml
- Tcl
- Erlang
- Eiffel
- CoffeeScript
- J
- Racket
1. Pythonの。機械学習や科学計算用の一般的なモジュールなど、多数のライブラリに大きく依存するオブジェクト指向のインタープリター言語。
print('I love you!')
2. Javaの。仮想マシンで実行するコードを生成するオブジェクト指向言語。これにより、わずかな変更を加えて、さまざまなプラットフォームで実行できます。Javaは、Webアプリケーション開発者に人気のある選択肢です。
public class ILoveYou
{
public static void main(String[] args)
{
System.out.println("I love you!");
}
}
3. C。速度と柔軟性が重要なプログラムを作成するために使用されます。たとえば、組み込みシステムやハイパフォーマンスコンピューティング。
#include <stdio.h>
int main(void)
{
printf("I love you!\n");
return 0;
}
4. C ++。Cのオブジェクト指向バージョン。これは、グラフィカルユーザーインターフェイスによって駆動されるソフトウェアの論理的な継続であることが判明しました。
#include <iostream>
int main()
{
std::cout << "I love you!" << std::endl;
return 0;
}
5. JavaScriptを。主にその場でWebブラウザに機能を追加するために使用されるスクリプト言語であり、複雑なWebページを作成できます。
document.write("I love you!");
6. R。統計分析およびデータマイニングアプリケーション用に設計されたプログラミング言語および環境。
print("I love you!")
7. アルドゥイーノ。配線言語と処理開発環境に基づいて、Arduinoマイクロコントローラーの母国語は膨大な数のDIYおよびプロトタイプデバイスの基礎になりました。
void setup() {
Serial.begin(9600);
Serial.println("I love you!");
}
void loop() {
}
8. 移動します。Googleによって作成されたGoには、さまざまなカーネルまたはコンピューターで実行中に通信する必要があるプログラムのサポートが組み込まれています。
package main;
import "fmt"
func main()
{
fmt.Println("I love you!")
}
9. スイフト。iOSおよびmacOSソフトウェアを構築するためのAppleの言語。C、C ++およびObjective-Cコードと互換性があります。
print('I love you!')
10. MATLAB。MathWorksのMATLABコンピューティング環境用のスクリプト言語。エンジニアリングや科学モデリングなどの数値計算タスク用に設計されています。
disp('I love you!')
11. ルビー。他の同様の言語よりも多くのオブジェクトのサポートを提供するように設計されたスクリプト言語。
puts "I love you!"
12. ダーツ。Googleによって開発されたDartは、モバイルデバイス用のARMおよびx86アーキテクチャ用にコンパイルすることも、ブラウザベースのアプリケーション用にJavaScriptに移植することもできます。DartベースのFlutterフレームワークは、iOSおよびAndroid用のカスタムブックを作成するように設計されています。
void main() {
print('I love you!');
}
13. SQL。データベースのクエリと操作のための特殊な言語。たとえば、データベースと一緒にビジネスロジックを実行できるようにする一般的なプログラムを作成するための拡張機能があります。
CREATE TABLE iloveyou (phrase TEXT);
INSERT INTO iloveyou VALUES ("I love you!");
SELECT COUNT(*) FROM iloveyou;
14. PHP。主に動的Webサイトをサポートするために設計されたスクリプト言語。
<?php
echo "I love you!";
?>
15. アセンブリ。プロセッサ命令セットの広大なファミリの総称。アセンブリコードの記述にはかなりの知識が必要ですが、ハードウェア上で直接実行できる高速ソフトウェアを作成できます。
global _main extern _printf section .text _main: push message call _printf add esp, 4 ret message: db 'I love you!', 10, 0
16. スカラ。Scalaで記述されたプログラムは、Javaプログラムと同じ仮想マシンで実行できます。
object Love {
def main(args: Array[String]) = {
println("I love you!")
}
}
17. HTML。多くの場合CSSで拡張可能な、Webページのルックアンドフィールを記述するための特殊な言語。
<!DOCTYPE html>
<html>
<head>
<title>Love</title>
</head>
<body>
<p>I love you!</p>
</body>
</html>
18. Kotlin。KotlinコードはJava拡張機能として、Java仮想マシンで実行することも、JavaScriptまたはネイティブAndroidアプリケーションにコンパイルすることもできます。
fun main(args: Array<String>) {
println("I love you!")
}
19. ジュリア。この言語は、統計および科学計算用に設計されており、FortranおよびCで記述されたレガシーライブラリと互換性があります。
println("I love you!")
20. さび。並列システムの堅牢なプログラミングを容易にするために設計された言語。
fn main() {
println!("I love you!");
}
21. シェル。オペレーティングシステムのコマンドラインのスクリプトを可能にする一連のフレームワークの総称。
#!/bin/sh
echo I love you!
22. 処理。この言語は、アーティスト、デザイナー、愛好家が視覚化を簡単に作成できるようにするために、マサチューセッツ工科大学で作成されました。p5.jsと呼ばれる密接に関連するJavaScriptライブラリを使用すると、ブラウザベースのアプリケーションを構築できます。
PFont font;
void setup() {
size(200,200);
font = loadFont("CharterBT-Roman-48.vlw");
}
void draw() {
background(255);
textFont(font,20);
fill(0);
text("I love you!",10,100);
}
23. C#。.NETプラットフォーム用のソフトウェアを開発するためにMicrosoftによって作成されたオブジェクト指向言語。多くのWindowsおよびAzureアプリケーションをサポートします。
using System;
class ILoveYou
{
static void Main()
{
Console.Write("I love you!");
}
}
24. SAS。データ分析と統計分析の言語。
data foo ; bar="I love" ; put bar= "you!"; run ;
25. Fortranの。1950年代に作成されたFortranは、高性能の科学および工学コンピューティング向けに設計されています。
program iloveyou
print *, "I love you!"
end program
26. CUDA。プログラマーがGPUのパワーを汎用コンピューティングに活用できるようにするグラフィックチップメーカーNVIDIAのプラットフォームとツールキット。
__global__ void cuda_love(){
printf("I love you!\n");
}
int main() {
cuda_love<<<1,1>>>();
return 0;
}
27. Visual Basicの。Microsoftは、Windowsアプリケーションの迅速な開発のためにVisual Basicを作成しましたが、現在はサポートしていません。引き続きサポートされているVisualBasic .NETの子孫は、より新しい.NETフレームワークで動作します。
Imports System
Module Love
Sub Main()
Console.WriteLine("I love you!")
Console.ReadLine()
End Sub
End Module
28 のObjective-C。Swift以前はOSXおよびiOS用のアプリを作成するためのAppleのお気に入りの言語でした。
#import <Foundation/Foundation.h>
int main(int argc, const char * argv[]) {
@autoreleasepool {
NSLog(@"I love you!");
}
return 0;
}
29. デルファイ。Windowsアプリケーションに使用されるPascal派生物。エンバカデロデルファイが所有。Open Pascalのオープンソース実装は、他のプラットフォームにも存在します。
program ILoveYou;
{$APPTYPE CONSOLE}
begin
WriteLn('I love you!');
end.
30. Perlの。かつては、単一の言語が複雑なシステムの接着剤として使用されることがよくありました。Perlは現在、密接に関連しているが異なる2つの言語、Perl5と更新されたPerl6に属しています。
print('I love you!')
31. Verilogの。電子回路の作成と分析に使用されるハードウェア記述言語(IEEE 1364標準で定義)。後の拡張されたSystemVerilog(IEEE 1800)の基礎を形成します。
module love_you ;
initial begin
$display ("I love you!");
#10 $finish;
end
endmodule
32. VHDL。電子回路の作成と分析に使用される機器記述言語。
entity T01_ILoveYou is
end entity;
architecture sim of ILoveYou is
begin
process is
begin
report "I love you!";
wait;
end process;
end architecture;
33. エリクサー。Erlangプログラミング言語の拡張であるElixirは、トラフィックの多いWebサイトや大量のデータの処理などの大規模なソフトウェア向けに設計されています。
Erlang/OTP 21.0 [64-bit] [smp:2:2] [...]
Interactive Elixir (1.11.3) - press Ctrl+C to exit
iex(1)> "I " <> "love " <> "you!"
"I love you!"
34. F#。Microsoftによって開発されたF#は、Windowsで最も一般的に見られる.NETプログラミング環境を対象としています。これは主に関数に基づいて構築されていますが、オブジェクト指向プログラミングもサポートしています。
[<EntryPoint>]
let main argv =
printfn "I love you!"
0
35. プロローグ。もともとAIコミュニティから、この言語は主に教育と研究のツールとして使用されます。
$ swipl
<...banner...>
?- write('I love you!'), nl.
36. ルア。このスクリプト言語は、特にアニメーションやゲームの分野で、他のアプリケーションをプログラム可能にするためによく使用されます。
print("I love you!")
37. Lispの。1950年代に作成されたLispは、人工知能の研究者によって広く使用されるようになりましたが、近年人気が低下しています。ただし、ClojureやScheme(個別にリストされている)などのLispフレーバーは活発なコミュニティを誇っています。
CL-USER> (defun love ()
(format t "I love you!~%"))
LOVE
CL-USER> (love)
I love you!
NIL
CL-USER>
38. エイダ。もともと米国国防総省のために開発されたこの言語は、航空宇宙制御システムなど、信頼性が重要なアプリケーションに使用されます。
with Ada.Text_IO;
procedure sayLove is
begin
Ada.Text_IO.put("I love you!"); -- Output some text!
end sayLove;
39. ApacheGroovy。Javaプラットフォームで実行されるオブジェクト指向プログラミング言語。Webアプリケーションを作成するためのよく知られたフレームワークであるGrailsは、Groovyで記述されています。
public class Love {
public static void main(String args[]) {
System.out.println("I love you!");
}
}
40. スキーム。もともと教育目的の言語として考案されたSchemeは、現在、Android用AppInventorなどのアプリケーションで使用されるLispバリエーションのファミリーです。これにより、モバイルサービスを迅速に開発できます。
(begin
(display "I love you!")
(newline))
41. ハスケル。この言語は、純粋な関数型プログラミングの原則に基づいて構築されており、信頼性が重要なアプリケーション向けに設計されています。
main :: IO ()
main = putStrLn "I love you!"
42. COBOL。1950年代後半にビジネスおよび金融アプリケーション向けに開発されたCOBOLソフトウェアは、依然として多くの大規模組織のミッションクリティカルなシステムを管理しています。
PROCEDURE DIVISION.
DISPLAY 'I love you!'.
STOP RUN.
43. Clojureの。Javaプログラムをサポートする同じ仮想マシンと互換性のあるLisp方言。
(ns helloworld.core)
(defn -main
"I can say 'I love you!'."
[]
(println "I love you!"))
44. ABAP。ABAP(Advanced Business Application Programming)は、SAPによって作成されたビジネスサービス用のプログラミング言語です。
REPORT ILOVEYOU.
WRITE 'I love you!'.
45. D。C ++の後継として構築されたDには、アーキテクチャ固有のハードウェアを使用するためのインラインアセンブラがあります。
import std.stdio;
void main()
{
writeln("I love you!");
}
46. フォース。もともと電波望遠鏡を制御するために開発されたこのプログラミング言語は、現在でもブートローダーやファームウェアなどのアプリケーションに使用されています。
: LOVE ( -- ) CR ." I love you!" ;
47. OCamlの。ソフトウェアの信頼性に重点を置いたオブジェクト指向言語。
print_string "I love you!\n";;
48. Tclの。ラピッドプロトタイピングと、主にUnixシステムで使用されるTkグラフィカルユーザーインターフェイスのサポート用に設計されたインタープリター言語。
#! /usr/local/bin/wish8.5
button .love -text "I love you!" -command { exit }
pack .love
49. アーラン。1998年にエリクソンが組み込みテレフォニーアプリケーション用に作成したオープンソース言語としてErlangがリリースされたことで、多くのタスクを同時に処理する必要のあるサービスを開発するプログラマーの間で人気が高まりました。
-module(love).
-export([love_you/0]).
love_you() -> io:fwrite("I love you!\n").
50. エッフェル塔。他のいくつかの一般的な言語に影響を与えたオブジェクト指向言語。重要な原則は、プログラマーがコードの基本的なロジックと可読性に焦点を合わせながら、可能な限り多くのコード最適化作業をコンパイラーに任せることです。
on_paint (paint_dc: WEL_PAINT_DC; invalid_rect: WEL_RECT)
do
paint_dc.draw_centered_text("I love you!", client_rect)
end
51. CoffeeScriptの。他の言語の構文規則を実装することにより、JavaScriptコードを簡単に記述できるように設計されています。
console.log("I love you!")
52. J。主に分析と数理計画法に使用され、Jで記述されたコードは非常に簡潔であることがよくあります。
#!/bin/jc
echo 'I love you!'
exit ''
53. ラケット。Lispのバリエーションであるこの言語を使用すると、独自のドメイン固有言語を簡単に作成できます。
> "I love you!"